Clock.isSynced

Checks if the clock is currently synced.

This returns if GST_CLOCK_FLAG_NEEDS_STARTUP_SYNC is not set on the clock.

class Clock
bool
isSynced
()

Return Value

Type: bool

%TRUE if the clock is currently synced

Meta